Date | Title | Description |
14.05.2024 | NVIDIA Blackwell Platform Promises GenAI on Trillion-Parameter LLM’s | What Is The News About?
Artificial intelligence using quantum mechanics. Pharmaceutical research and development. Power from fusion reactors. Rapid advancements in computing power and artificial intelligence are setting the stage for the ne... |
09.05.2024 | Dr. Chelsea Clinton, Danny Wirtz, and Valerie Jarrett to Headline Social Innovation Summit on June 4-5 in Chicago | Two-day event will feature timely sessions on mental health, LGBTQIA+, youth empowerment, reproductive rights, and more
NEW YORK, May 9, 2024 /PRNewswire/ -- Today, Social Innovation Summit (SIS) announced the initial two-day agenda for the... |
01.05.2024 | Seven Corporate Buyers Enter Into Aggregation Deal To Purchase 180 MW of Solar Energy Through the Net Zero Consortium for Buyers | BOSTON, May 1, 2024 /PRNewswire/ -- Through Sustainability Roundtable, Inc.'s Net Zero Consortium for Buyers (NZCB), Cisco, Juniper Networks, Bio-Rad Laboratories, Cadence Design Systems, IDEXX Laboratories, Inc., PTC, and a large healthcar... |
18.04.2024 | M31 Successfully Validates 5nm IP Solution to Empower Global AI Applications | HSINCHU, April 18, 2024 /PRNewswire/ -- M31 Technology Corporation (M31), a leading global provider of silicon intellectual property (IP), announced that its 5nm advanced process IP solution for high-speed interface IPs such as MIPI C/D-PHY... |
18.04.2024 | New Cadence supercomputers aim to speed creation of chips, software | Cadence Design Systems on Wednesday introduced the latest version of supercomputer based around a custom computing chip designed to speed up the creation of other computing chips and the software that will run on them.
Cadence makes softwar... |
23.03.2024 | India has the largest slate of local original content outside US: Kelly Day | Earlier this week, Amazon Prime Video showcased its upcoming India slate. Its popular shows such as Mirzapur, Paatal Lok and Panchayat will see their new seasons. There are a bunch of new shows, several in Tamil and Telugu, that will join t... |
22.03.2024 | Nvidia's Blackwell Chip Revolutionizes AI Landscape | Nvidia's GTC 2024 conference in San Jose, California, unveiled the groundbreaking Blackwell chip, propelling the future of AI into uncharted territory. Tech enthusiasts flocked to witness Nvidia's latest innovations, driving the company's s... |
22.03.2024 | Nvidia's AI Innovations: A Glimpse into the Future | Nvidia, a powerhouse in the tech industry, is making waves with its latest AI advancements. From Project GR00T to the unveiling of the B200 chip, the company is pushing boundaries and shaping the future of robotics and artificial intelligen... |
20.03.2024 | Local chip design firms can cater to e2Ws worldwide: Cadence's India MD | Cadence Design Systems, the world’s leader in electronic systems design and automation, headquartered in San Jose in the US, sees a huge opportunity for homegrown chip and electronic design startups to make products catering to the global e... |
19.03.2024 | GTC 2024: Nvidia Reveals Digital Blueprint for Next-Gen Data Centers | Jace Dela Cruz, Tech Times 19 March 2024, 03:03 am
Nvidia's recent unveiling at GTC 2024 shed light on its latest endeavor: a comprehensive digital blueprint for the next generation of data centers, showcasing the intricate process involved... |
19.03.2024 | Nvidia B200 promises to extend chip maker’s AI dominance | Jensen Huang, CEO of Nvidia
Nvidia CEO Jensen Huang kicked off his company’s annual developer conference on Monday with a slew of announcements designed to keep the chip maker in a dominant position in the artificial intelligence industry.
... |
18.03.2024 | NVIDIA Announces Omniverse Cloud APIs to Power Wave of Industrial Digital Twin Software Tools | Ansys, Cadence, Hexagon, Microsoft, Rockwell Automation, Siemens, Trimble Adopt Omniverse Technologies to Help Customers Design, Simulate, Build and Operate Physically Based Digital Twins
SAN JOSE, Calif., March 18, 2024 (GLOBE NEWSWIRE) --... |
18.03.2024 | Nvidia Omniverse Cloud APIs will elevate digital twins for a new industrial revolution | Are you looking to showcase your brand in front of the gaming industry’s top leaders? Learn more about GamesBeat Summit sponsorship opportunities here.
Nvidia unveiled its Omniverse Cloud application programming interfaces (APIs), enabling ... |
18.03.2024 | Nvidia unveils flagship AI chip, the B200, aiming to extend dominance | SAN JOSE: Nvidia Chief Executive Jensen Huang on Monday (Mar 18) kicked off his company's annual developer conference with a slew of announcements designed to keep the chip maker in a dominant position in the artificial intelligence industr... |
18.03.2024 | What’s a NIM? Nvidia Inference Microservices is new approach to gen AI model deployment that could change the industry | Join leaders in Boston on March 27 for an exclusive night of networking, insights, and conversation. Request an invite here.
Nvidia is aiming to dramatically accelerate and optimize the deployment of generative AI large language models (LLM... |
18.03.2024 | NVIDIA Launches Generative AI Microservices for Developers to Create and Deploy Generative AI Copilots Across NVIDIA CUDA GPU Installed Base | New Catalog of GPU-Accelerated NVIDIA NIM Microservices and Cloud Endpoints for Pretrained AI Models Optimized to Run on Hundreds of Millions of CUDA-Enabled GPUs Across Clouds, Data Centers, Workstations and PCs
Enterprises Can Use Microse... |
16.03.2024 | Amida Unveils Specialized Business Unit for Advancing Microelectronics Cybersecurity | Building End-to-End Security into the Semiconductor Chip Lifecycle
Amida Technology Solutions, Inc. (Amida), a software services company that solves the most complex challenges in data interoperability, data exchange, data governance, and d... |
11.03.2024 | Electronic Design Automation Market to Hit USD 22.18 Billion by 2030 due to Shift Towards Cloud-Based EDA Solutions | Electronic Design Automation (EDA) Market
Electronic Design Automation Market Size, Share, Growth, Trend, Global Industry Overview and Regional Analysis, Forecast 2024 - 2031 AUSTIN, TEXAS, UNITED STATES, March 11, 2024 /EINPresswire.com/ -... |
04.03.2024 | Accellera Announces IEEE 1800™-2023 Standard Available Through IEEE GET Program | ELK GROVE, Calif., March 04, 2024 (GLOBE NEWSWIRE) -- Accellera Systems Initiative (Accellera), the electronics industry organization focused on the creation and adoption of electronic design automation (EDA) and intellectual property (IP) ... |
04.03.2024 | Accellera Approves Verilog-AMS 2023 Standard for Release | ELK GROVE, Calif., March 04, 2024 (GLOBE NEWSWIRE) -- Accellera Systems Initiative (Accellera), the electronics industry organization focused on the creation and adoption of electronic design automation (EDA) and intellectual property (IP) ... |
26.02.2024 | Weekly AiThority Roundup: Biggest Machine Learning, Robotic And Automation Updates | This is your AI Weekly Roundup. We are covering the top updates from around the world. The updates will feature state-of-the-art capabilities in artificial intelligence (AI), Machine Learning, Robotic Process Automation, Fintech, and human-... |
22.02.2024 | Daily AI Roundup: Biggest Machine Learning, Robotic And Automation Updates | This is our AI Daily Roundup. We are covering the top updates from around the world. The updates will feature state-of-the-art capabilities in artificial intelligence (AI), Machine Learning, Robotic Process Automation, Fintech, and human-sy... |
21.02.2024 | Intel and Cadence Expand Partnership for Best-in-Class SoC Design on Intel’s Advanced Processes | Multiyear agreement to enable best-in-class system-on-chips (SoCs) for the AI, high performance computing (HPC) and mobile markets
Intel Foundry Services (IFS) and Cadence Design Systems, Inc. announced they have expanded their partnership ... |
13.02.2024 | Cadence and Dassault Systèmes Unveil Cloud-Enabled Experience for Electromechanical Systems | The partnership accelerates the development of Electromechanical Systems Virtual Twins, by bringing together Dassault Systèmes’ 3DEXPERIENCE SOLIDWORKS and Cadence’s AI-Driven OrCAD X and Allegro X
Cadence Design Systems, Inc. and Dassault ... |
02.02.2024 | Cadence Significantly Advances ECAD/MCAD Convergence with New Celsius Studio AI Thermal Platform | Thermal, stress and electronics cooling in-design analysis empowers designers to utilize ECAD and MCAD seamlessly for multiphysics simulation of electro-mechanical systems
Cadence Design Systems, Inc. announced Cadence Celsius Studio, the i... |
01.02.2024 | Cadence unveils AI-powered supercomputer for streamlining jet design | The future of supersonic travel got a major boost today with Cadence Design Systems‘ announcement of a new artificial intelligence (AI) supercomputer specifically designed for jet design to simulate how air flows over jets and other gear as... |
19.01.2024 | Cadence Introduces Palladium Z2: Advancing SoC Verification With 4-State Emulation | 4-State Emulation App accelerates simulations requiring X-propagation
Cadence Design Systems, Inc. announced a new portfolio of applications that significantly enhance the capabilities of its flagship Palladium Z2 Enterprise Emulation Syste... |
09.01.2024 | Cadence Acquires Invecas | Cadence Design Systems (Nasdaq: CDNS), a San Jose, CA-based leader in electronic systems design, acquired Invecas, Inc., a Santa Clara, CA-based provider of design engineering, embedded software and system-level solutions.
The amount of the... |
08.01.2024 | Top 10 News Of Samsung In 2023 | Samsung, a titan in the world of consumer electronics and technology, sets the stage for a dynamic year ahead in 2023, unveiling a cascade of news stories that underscore its relentless pursuit of innovation and excellence. As the digital l... |
21.12.2023 | Cadence AI-Driven Multiphysics System Analysis Accelerates Product Development at Wistron | Optimality Intelligent System Explorer, alongside Clarity 3D Solver, delivers fast and accurate AI-driven electromagnetic optimization
Related Posts
Boomi Appoints Matt Heinz as Chief Revenue Officer
Jan 7, 2024
BrainChip Takes Vegas to the... |
11.12.2023 | Cadence Partners With Antiviral Discovery Consortium to Spearhead Rapid Development of Treatments for Viral Pandemics | Antiviral Discovery Effort Funded by $68M NIH Grant
Cadence Molecular Sciences (OpenEye)—a business unit of Cadence Design Systems will provide OpenEye’s molecular design software to power the open-science AI-driven Structure-enabled Antivi... |
03.11.2023 | Cadence Announces Voltus Insightai, Industry’s First Generative AI Technology That Automatically Identifies and Addresses EM-IR Violations | Industry’s first generative AI-driven technology to predict, root cause and resolve IR drop issues early in the design process
Cadence Design Systems, Inc. announced the new Cadence Voltus InsightAI, the industry’s first generative AI techn... |
23.09.2023 | Cadence to Acquire Intrinsix Corporation from Ceva | Cadence Design Systems, Inc. (Nasdaq: CDNS) and Ceva, Inc. (Nasdaq: CEVA), a licensor of wireless connectivity and smart sensing technologies, have entered into a definitive agreement for Cadence to acquire Intrinsix Corporation, a wholly o... |
19.09.2023 | Cadence Accelerates On-Device and Edge AI Performance and Efficiency with New Neo NPU IP and NeuroWeave SDK for Silicon Design | Cadence Design Systems, unveiled its next-generation AI IP and software tools to address the escalating demand for on-device and edge AI processing. The new highly scalable Cadence Neo Neural Processing Units (NPUs) deliver a wide range of ... |
31.08.2023 | Cadence Collaborates with Arm to Accelerate Neoverse V2 Data Center Design Success with Cadence AI-driven Flows | Cadence optimized its AI-driven RTL-to-GDS digital flow and delivered corresponding 5nm and 3nm RAKs for the Arm Neoverse V2 platform, enabling designers to get to market faster
Cadence AI-driven verification full flow provides Neoverse V2 ... |
03.08.2023 | Cadence Advances Pervasive Intelligence at the Edge with Next-Generation Extensible Tensilica Processor Platform | 8th generation of the industry-leading Tensilica Xtensa LX platform offers significant system-level performance enhancements while delivering optimal power efficiency
Cadence Design Systems, announced the Cadence Tensilica Xtensa LX8 proces... |
02.07.2023 | Weekly AiThority Roundup: Biggest Machine Learning, Robotic And Automation Updates – June 4th Week | This is your AI Weekly Roundup . We are covering the top updates from around the world. The updates will feature state-of-the-art capabilities in artificial intelligence (AI), Machine Learning, Robotic Process Automation, Fintech, and human... |
28.06.2023 | Cadence Expands Collaboration with Samsung Foundry, Providing Differentiated Reference Flows Based on the Integrity 3D-IC Platform | Companies advance multi-die planning and implementation, leveraging Cadence’s Integrity 3D-IC platform, the industry’s only unified platform that combines system planning, packaging and system-level analysis
Integrity 3D-IC platform support... |
29.05.2023 | Cadence Collaborates with Arm to Accelerate Mobile Device Silicon Success with New Arm Total Compute Solutions | Cadence Design Systems, announced it has continued to expand its collaboration with Arm to advance mobile device silicon success, providing customers with a faster path to tapeout through use of Cadence digital and verification tools and th... |
27.04.2023 | Cadence Delivers New Design Flows Based on the Integrity 3D-IC Platform in Support of TSMC 3Dblox Standard | Cadence Design Systems, announced new design flows based on the Cadence Integrity 3D-IC platform to support the TSMC 3Dblox standard for 3D front-end design partitioning in complex systems. Through this latest collaboration, the Cadence flo... |
27.04.2023 | Cadence Collaborates with GUC on AI, HPC and Networking in Advanced Packaging Technologies | Cadence 112G-LR SerDes silicon proven in GUC’s HBM3/GLink/CoWoS platform
Cadence Design Systems, announced that the Cadence 112G-LR SerDes is silicon proven on the HBM3/GLink/CoWoS platform from Global Unichip Corp. (GUC). This milestone in... |
20.04.2023 | Cadence Unleashes the Future of Analog, Custom and RFIC Design with Pioneering AI-Powered Virtuoso Studio | Highlights:
Industry’s leading platform for creating differentiated custom silicon delivers unmatched productivity benefits with new generative AI technology
Virtuoso Studio empowers designers to push semiconductor and 3D-IC design boundari... |
18.04.2023 | Cadence Extends Collaboration with TSMC and Microsoft to Advance Giga-Scale Physical Verification in the Cloud | Cadence Design Systems, Inc. announced an expanded collaboration with TSMC and Microsoft, focusing on accelerating the physical verification of giga-scale digital designs. Through this latest collaboration, mutual customers can shorten desi... |
17.04.2023 | Cadence Introduces EMX Designer, Delivering More Than 10X Increased Performance for On-Chip Passive Component Synthesis | Cadence Design Systems, Inc. announced the new Cadence EMX Designer, a passive device synthesis and optimization technology that delivers, in split seconds, design rule check (DRC)-clean parametric cells (PCells) and accurate electromagneti... |
12.04.2023 | Cadence Strengthens Tensilica Vision and AI Software Partner Ecosystem for Advanced Automotive, Mobile, Consumer and IoT Applications | New ecosystem members Kudan and Visionary.ai enable fast deployment of high-performance, energy-efficient SLAM and AI ISP-based solutions
Cadence Design Systems, announced that it has welcomed Kudan and Visionary.ai to the Tensilica softwar... |
24.03.2023 | Слышали ли вы про язык «e»? А ведь он был продан за $315 миллионов долларов | Все знают про язык программирования C, поменьше — про язык программирования F, кое‑кто про B, предшественник C, а вот знаете ли вы про язык «e»? Их кстати два — один с большой буквы «E», а другой с маленькой «e».
Вы наверное подумали, что э... |
17.02.2023 | Cadence Delivers 13 New VIP and Expands System VIP Portfolio to Accelerate Automotive, Hyperscale Data Center and Mobile SoC Verification | Cadence Design Systems, announced the availability of 13 new Verification IP (VIP) solutions that enable engineers to quickly and effectively verify their designs to meet the specifications for the latest standards protocols. The new Cadenc... |
02.12.2022 | This CEO Is Prioritizing Team Culture And Sustainable Tech For A Net-Zero Carbon Future | At Cadence, a leading provider of electronics systems design solutions, company culture is just as important as designing new products. |
16.11.2022 | Cadence Introduces Industry’s Leading-Performance, Silicon-Proven 22Gbps GDDR6 IP | Cadence Design Systems, announced that Cadence IP for GDDR6 is silicon proven on TSMC’s N5 process technology, exceeding Cadence’s previous 16Gbps designs. Targeted for very high-bandwidth memory applications, including hyperscale computing... |
24.10.2022 | 3 Houston innovators to know this week | Editor's note: In this week's roundup of Houston innovators to know, I'm introducing you to three local innovators across industries — from venture capital to software — recently making headlines in Houston innovation.
Craig Ceccanti, found... |
21.10.2022 | Finalists named ahead of 2022 Houston Innovation Awards Gala | The Houston Innovation Awards Gala is just a few weeks away — and now the city knows who all it will be celebrating on November 9.
Eight judges evaluated over 150 companies and individuals across 11 categories for the 2022 Houston Innovatio... |
21.10.2022 | Tech company revs up support for Texas women in STEM at special F1 event | This week, the worlds of the Lone Star State's tech scene, women in STEM, and Formula 1 collided.
At a private event on Wednesday, October 19, hosted by computational software company Cadence Design Systems, Senior VP of Global HR Tina Jone... |
20.10.2022 | Formula 1 Grand Prix Gives Austin Tech Companies A Spot In The Automotive Grandstand. | McLaren 2022 Formula 1 Car at the Hungarian Grand Prix Race F1Belogorodov |
26.09.2022 | Cadence Brings Big Data And AI Analytics To SoC Verification | Cadence |
30.03.2022 | Get Ready For The Industrial Intelligence Revolution | Ben Gu is Vice President of the Multi-Physics System Analysis Business Unit at Cadence Design Systems. |
07.12.2021 | Silicon Express Lanes: AI, GPUs Pave Fast Routes for Chip Designers | AI can design chips no human could, said Bill Dally in a virtual keynote today at the Design Automation Conference (DAC), one of the world's largest gatherings of semiconductor engineers.
The chief scientist of NVIDIA discussed research in ... |
07.09.2021 | Проектировщики RISC-V из Yadro покажут школьникам как проектировать процессоры | Станислав Жельнио, проектировщик Syntacore, проводит семинар для школьников в Зеленограде в позапрошлом году
Через неделю будет выставка ChipEXPO, на которой для будет школа проектирования железа для начинающих с упражнениями на FPGA платах... |
15.08.2021 | Samsung has its own AI-designed chip. Soon, others will too | Enlarge
Getty Images reader comments 105 with 70 posters participating
Share this story
Share on Facebook
Share on Twitter
Share on Reddit
Samsung is using artificial intelligence to automate the insanely complex and subtle process of desig... |
09.08.2021 | Is being Stripe’s competitor now a badge of honor? | This is the web version of Term Sheet, a daily newsletter on the biggest deals and dealmakers. Sign up to get it delivered free to your inbox.
Happy Monday, Term Sheet readers. Finance reporter Anne Sraders here, filling in for Lucinda whil... |
09.08.2021 | Is being Stripe’s competitor now a badge of honor? | Happy Monday, Term Sheet readers. Finance reporter Anne Sraders here, filling in for Lucinda while she takes a well-deserved break.
Paid Content Why marketers are benefitting from a scientific mindset From Optimizely
Startups usually don’t ... |
26.07.2021 | Cadence Extends Digital Design Leadership with Revolutionary ML-based Cerebrus, Delivering Best-in-class Productivity And Quality of Results | Cerebrus uses unique ML technology to drive the Cadence RTL-to-signoff implementation flow, delivering up to 10X productivity and 20% PPA improvements for implementation
Built with a re-usable and transportable reinforced learning model tha... |
22.07.2021 | Cadence Design Systems launches Cerebrus machine learning for chip design | All the sessions from Transform 2021 are available on-demand now. Watch now.
It was only a matter of time before machine learning transformed the world of chip design. Cadence Design Systems, which makes design tools that engineers use to c... |
22.07.2021 | Cadence Design Systems launches Cerebrus machine learning for chip design | We are excited to bring Transform 2022 back in-person July 19 and virtually July 20 - 28. Join AI and data leaders for insightful talks and exciting networking opportunities. Register today!
It was only a matter of time before machine learn... |
22.07.2021 | Chip design giant Cadence launches AI platform to speed processor development
Show your support for our mission by joining our Cube Club and Cube Event Community of experts. Join the community that i... | Cadence Design Systems Inc. today launched a new artificial intelligence platform, Cerebrus Intelligent Chip Explorer, that it says can improve the productivity of semiconductor engineers and help them develop faster chips.
Publicly traded ... |
14.07.2021 | Cadence And UMC Collaborate On 22ULP/ULL Reference Flow Certification For Advanced Consumer, 5G And Automotive Designs | Collaboration enables mutual customers to easily adopt the integrated Cadence digital full flow, which offers leading implementation and signoff technology for ultra-low power designs
Cadence Design Systems, Inc. and United Microelectronics... |
02.05.2020 | Tecton.ai Snags $20 Million To Solve AI’s Data Problem | Digital generated image of data. Getty |
24.09.2019 | Financial planners can help with everything from investing to retirement, and your employer may offer planning services for free | Personal Finance Chevron icon It indicates an expandable section or menu, or sometimes previous / next navigation options.
Financial Planning Financial planners can help with everything from investing to retirement, and your employer may of... |
12.09.2016 | Jolt Capital’s Business Leader series #1 | In the first of our series of interviews with a range of business leaders & advisors, Alberto Sangiovanni VINCENTELLI sat down with us recently to share some his insights surrounding the key issues facing small to medium sized technolog... |
20.07.2015 | UltraSoC and Cadence's Tensilica Division collaborate to deliver universal debug for heterogeneous multicore SoCs | CAMBRIDGE, United Kingdom, and SAN JOSE, CA, 20th July 2015
UltraSoC and Cadence Design Systems, Inc. (NASDAQ:CDNS) today announced that they have collaborated to provide support for the Cadence® Tensilica® Xtensa® family of processors with... |
29.08.2014 | The Revival Of Semiconductor Funding | Ilgiz Akhmetshin Contributor
Editor’s note: Ilgiz Akhmetshin is a business development and marketing at SKTA Innopartners. Ilgiz has a diverse experience in IT and Information Systems.
Over the past few years the semiconductor funding ecosy... |
01.11.2011 | Still early in the 20nm era, ARM acquires circuit design company Prolific | ARM, the UK-based company behind the reduced instruction set processor architecture of the same name, announced on Tuesday that it had acquired long-running chip design partner Prolific Inc.. The amount of money ARM put toward the acquisiti... |
03.04.2009 | The VC walking dead: Extended edition | A couple weeks ago, Dan Primack of PE Hub blogged a list of venture capital firms he termed the “VC Walking Dead” — firms that by all indication appear to still be in business but lack the cash to bring new investments on board. This got us... |
02.04.2009 | Direct2Silicon nabs $9M to lower cost of chip production | Direct2Silicon, the San Jose, Calif. maker of direct-write e-beam lithography software used to create system-on-a-chip integrated circuits, has filled out its second round of funding, now totaling $9 million. The money will go toward market... |
11.03.2009 | System-on-a-chip software co. Direct2Silicon takes $7.2M | Direct2Silicon, a San Jose, Calif. company that makes direct-write e-beam lithography software to create system-on-a-chip integrated circuits, announced that it’s raised $7.19 million of an anticipated $9.48 million round of funding from in... |
12.02.2009 | Conformiq raises $4.2M to automate software tests | Conformiq, a Saratoga, Calif.-based company that automates the generation of tests for software products, announced that it’s brought in $4.2 million in a round of venture capital led by Nexit Ventures and Finnish Industry Investment, repor... |
04.02.2009 | China-focused VCs depart posts — Asia capital crunch to blame? | Sequoia Capital China founding partner Zhang Fan’s decision to leave for an advising post at a philanthropic fund, is the latest development in a wave of departures for venture capitalists working in Asian markets. Citing the desire to work... |
17.12.2008 | Tela closes $5.5M to scale semiconductor manufacturing | Tela Innovations, a Campbell, Calif. firm working to streamline semiconductor designs and manufacturing, has added three investors to close a $5.5 million third round of funding, reports VentureWire. The new backers, Cadence Design Systems,... |
24.09.2008 | Why the ranks of chip makers are thinning out | It takes about $13 billion in revenues over five years to justify a big investment in next-generation chip manufacturing technology. That explains why the ranks of chip makers are thinning out.
Walter Ng, a vice president at Chartered Semic... |
17.08.2007 | Cadence Design Systems buys chip design co., Clear Shape | Cadence Design Systems, a chip design company, has purchased Clear Shape Technologies, another chip design company.
See announcement here, which provides more details about what each of these companies do. The deal amount wasn’t disclosed.
... |
13.07.2007 | Cadence buys Invarium, a chip modeling company | Cadence, a San Jose chip design company, has acquired Invarium, a San Jose developer of advanced lithography modeling and pattern synthesis technology, for an undisclosed amount.
According to the statement:
Invarium’s pattern synthesis capa... |
04.06.2007 | Roundup: Google’s camera, Algoco, SpaceTime’s 3D search, Trivop and more | (updated) Here’s the latest action:
Google’s Street View continued — More details from BoingBoing on the scary little 11-sided camera that Google and its partner are using for street-level photography shots — exposing peoples’ living rooms ... |
07.09.2006 | Cadence shuts down VC arm, Telos Venture Partners | Semiconductor-design firm Cadence Design Systems Inc. is shutting down its venture arm, Telos Venture Partners, according to regulatory filings cited by the WSJ story written by Rebecca Buckman today.
Interesting, because the site is still ... |